版图验证工具Calibre

Calibre是Mentor Graphics针对深亚微米IC设计所开发的物理验证工具包。Calibre具有良好的层次化算法技术及分布式处理技术,对于大规模的深亚微米设计,能够快速、精确的定位错误。

分类:

相关产品

 
 

Calibre是Mentor Graphics针对深亚微米IC设计所开发的物理验证工具包。Calibre具有良好的层次化算法技术及分布式处理技术,对于大规模的深亚微米设计,能够快速、精确的定位错误。由于其强大的功能,目前已经被大多数主流的代工厂商、IC设计公司、IP供应商等作为内部验证的标准,成功流片无数设计。事实上,现在Calibre已成为物理验证领域的标准。

先进的DRC功能

市场的接受和认可帮助Calibre DRC确立了最先进的验证能力。DRC产品性能持续加强,简单的规则语法以及高速的性能确保Calibre DRC可以从容面对设计挑战。领先的DRC特征包括:

  • 并行检查。
  • 任意角度的检查满足复杂模拟设计的需要。
  • 基于边缘的检查算法简化几何长度和宽度的识别。
  • 简单的检查就可以快速识别不恰当的连接和过孔几何尺寸。
  • 一个简单的规则行可以最佳地识别出困难的连接/过孔线端包络以及浮动规则。
  • 改善检查抽头覆盖到扩散的能力。
  • 及时为验证目的标识出金属槽。
  • 根据每一个检查输出错误,DRC调试可以在整个验证完成之前开始进行。
  • 网络面积比值以最可靠也是最容易理解的方法提供识别最复杂天线的检查能力。
  • 均衡支持,增量型连通性以及电荷积累。错误信息以统计形式根据网络和层的情况报告,有效地加速设计修正过程。
  • 步进窗口方式的密度检查支持多层均衡,即便是面对最复杂的工艺技术定义,仅标识出那些不满足平坦化需求的芯片区域。
  • 自动密度填充机制可以迅速解决平坦化违反问题。独家支持calibre Smartfill技术允许用户在低密度区域上自动添加指定长度、宽度以及间距的金属化矩形。

自动识别阵列结构(金属填充,连接,过孔,槽口等)并且将GDSII中的多边形布局信息修改成阵列索引有效减少数据量。

层次化的检查

采用Calibre层次化的引擎进行检查,不仅可以大大提高效率,又可以避免错误的重复输出。比如同一子单元被复用100次,如果单元包含有一个错误,则应该输出100个错误。而采用层次化引擎,对重复的单元只需要检查一次,输出的错误也是一个。此外,Calibre还会对版图的层次化进行优化,以达到版图设计的最佳层次化。因此,采用Calibre优化的层次化技术,不仅可以大大提高验证速度,加快改错,还可以降低对硬件资源的需求;

直接进行layout数据转换

如果是在版图工具中调用Calibre,可以通过接口首先将版图转换为GDS格式,然后进行DRC检查,不需要再单独手动输出GDS数据;

特定区域局部检查

在layout中可以任意选择需要检查的区域,则只对这个区域进行DRC检查。由于局部修改并未对整体产生影响,所以可以选定修改后的区域针对性的进行DRC检查,提高改错、重新验证的效率;也可以只进行某些子单元或屏蔽某些子单元及区域的检查;

规则分组及选择检查

在规则文件中通常会对相应的检查分组,可以任意指定需要检查的规则或组,忽略不必要的检查;

多线程和分布式处理技术

采用多线程和分布式处理技术可以成倍的提高验证速度。利用单机多CPU或局域网多机器的硬件资源优势,将任务分配给多台CPU同时进行验证,可以成倍的提高速度,缩短验证周期。

HTML自动产生验证报告,随着calibre nmDRC'S定制的HTML批处理验证报告,设计人员可以精简DRC的调试和提高沟通效率而无需修改验证规则。

  • 100%的后台批量操作.
  • 可以将重点问题进行排序或者过滤
  • 用户可以根据自定义属性,模块或者检查类型分组检查结果
  • 使用网页形式对错误结果或者屏蔽错误建立核查程序
  • 使用快照,自定义的文本,图层面板,层属性来提供规则解释
  • 可为进一步的数据分析提供colormaps和直方图
  • 在RVE和版图工具中容易重现错误

强大的LVS能力

Calibre LVS是一款市场领先的版图和原理图对比检查工具,支持全芯片的完整物理参数考量的实际器件量测,提供精确的电路验证。它可和calibre DRC,calibre xRC和其他第三方寄生提取工具整合使用,为物理验证和寄生提取提供准确的器件萃取。LVS有许多非常灵活的控制选项,应用于不用的设计阶段和环境中,以满足各种情况下原理图同版图的一致性检查工作。

独特地LVS特征包括:

层次化的验证。LVS同样采用层次化的验证方法,不仅可以大大提高效率,更可以将错误直接定位在子单元中,缩小错误的范围,更容易查错;

  • 分步骤的验证.LVS的过程可以分为两个步骤,首先从GDS中得到版图的网表,其次进行版图网表和源网表的比较。Calibre可以将这两个步骤分开执行。当设计规模足够大时,版图网表的提取会花很多时间,可能是数个小时,而这几乎占用了整个LVS百分之九十的时间。LVS的结果除了版图之外,还会受到很多其它因素的影响,比如OPTION的定义,假如只是修改了LVS OPTION的定义而版图保持不变,重新做LVS则只需要做第二步就可以了,这样可以大大节省验证时间;
  • 全局节点的短路解决.相信后端验证工程师们最头痛的莫过于电源地等全局节点的短路问题,大多验证工具生成的报告中会把电源地所引起的错误都罗列出来,不仅数量繁多不容易排查,而且很难进行错误定位。Calibre针对这种现象,设计了电源地隔离的辅助功能。只需要定义电源和地的TEXT,Calibre会从相应的text之间找到最短的路径,通过这个路径就可以很快找到短路点。这个功能也可以应用于任何两个节点甚至多个节点之间的短路;

  • IP的检查.现在设计规模越来越大,IP的应用也越来越多。对于IP的检查,Calibre可以屏蔽IP内部的比较,只检查IP各个端口连接的正确性,确保IP的正确应用。
  • 支持Verilog,Spice,Gds,db等多种文件输入格式。
  • 支持自动门的识别,标准器件减少,以及其它选项简化规则的编写,并对用户定义的器件减少提供可靠的算法控制。
  • 支持直接进行版图数据转换。在LVS验证初始可以通过接口首先把版图转换为GDS格式,然后进行验证,不需要再单独手动输出GDS数据。
  • 最小的文本方法从属关系使得入门快速而且简单。
  • 户可以使用标准和自定义的器件提取指令非常容易地实现数字,模拟以及RF设计中3,4或者N端口的器件地提取。
  • 用户可以使用可靠而高性能的参数提取能力来提取标准或者复杂的基于均衡的任何的物理数据的用户自定义参数。

  • 支持器件M参数的提取和比较确保模拟电路严格的误差。
  • 用户定义的器件减少算法提供最佳的用户控制。
  • 通过标准支持的SPICE输入Verilog翻译工具实现简捷的输入。
  • 运用Calibre连通性接口可以实现标注的GDSII的创建,实现同第三方寄生参数提取产品的接口。
  • 支持版图,检查结果以及原理图的交互高亮显示和查询。

Calibre xRC主要功能

Mentor Graphics公司参数提取工具Calibre xRC是专注为应对纳米工艺技术中复杂芯片设计的物理验证挑战而研发的业界第一个最高性能的环境和技术。Calibre xRC提供高质量快速地实现SOC单元、库以及整个版图设计寄生参数提取的功能。

在传统的硅工艺设计流程,当设计采用0.35um以上工艺时,对于寄生可以忽略或简单估算就可以了。这是因为这种工艺器件尺寸较大,金属线宽及间距之间也都比较大,因此器件的延时要远远大于金属线的延时,经验值通常是MOS门延时占总延时的70%。当采用0.25um及以下工艺时,内部连线的延迟开始严重影响系统的性能。工艺越先进,线宽越小,连线电阻越大;连线间距的减小,又增加了线之间的寄生电容,而金属线侧面电容的也已成为寄生的主导因素。通孔的电阻、电容,浮空节点的寄生电容都会影响到电路的性能。为了满足在深亚微米及纳米工艺中设计的高性能,寄生参数能够被准确的提取变得原来越重要,同时对工具的性能也提出了更加苛刻的要求。Calibre xRC就是能够很好解决上述问题的工具。

无数成功的事实证明Calibre突破性的技术提供数字设计、模拟设计和混合信号设计的无与伦比的验证精确性以及大规模设计和SOC设计所需的的高性能和大容量。不仅成为大多数工程师深亚微米寄生参数提取的必需工具,同时也是全球各大半导体代工厂,流片厂商,设计库提供厂商以及IP提供商推荐使用、内部应用的主流工具。

Calibre xRC基于三维的提取技术能够保证非常精确的建模,可以将所有的寄生能够准确的提取出来,从而确立了calibre xRC在模拟集成电路以及数模混合集成电路中的优势地位。可以从容应对180nm到28nm以下等所有工艺的设计要求和挑战。领先的寄生参数提取特征包括:

  • 对先进的工艺进行精确的建模,进行三维提取;
  • in-die variation寄生电阻电容提取方法;
  • 具有晶体管级、门级、层次化及数模混合的提取方式,可进行R-only、C-only、distributed RC、distributed RCC格式提取;
  • 具有高性能、高容量,支持多线程,具有对大规模设计进行全芯片寄生提取的能力;
  • 同Calibre LVS紧密地集成在一起,采用LVS的层次化数据结构;
  • 生成多种格式的输出,SPICE、SPECTRE、DSPF、SPEF、CalibreView等;
  • 可以一次性提取数模混合信号寄生网表,以满足数模混合SOC后仿真要求;
  • 先进的寄生参数缩减技术,可保证在一定的仿真精度条件下,减小网表尺寸,提高仿真速度;
  • 同Layout工具紧密地集成在一起,可以直接用图形化的界面在layout中进行寄生参数的提取。可以将提取得到的寄生电阻、电容反标到layout及schematic中,方便电路分析;
  • 同Calibre DRC、LVS组成单一的验证环境,有共同的规则语法,加快验证的速度,避免多个工具的维护。

由于Calibre xRC采用层次化的数据处理,同时对设计的数据进行优化,也可以采用多线程的方法,所以具有高性能,高容量的特点,支持大规模设计全芯片的参数提取。Calibre xRC、xL是一个单一的工具,它可以针对不同的设计分别进行寄生参数提取。对于模拟设计,可以进行晶体管级和层次化的提取;而对于由P&R工具所生成的数字电路,可以进行门级的提取;对于数模混合电路,它可以产生支持ADMS的混合信号后仿真网表。

Calibre xRC可以生成多种格式的SPICE网表,包括Eldo、Hspice和spectre,也可以输出DSPF或SPEF格式。如果采用Cadence环境进行模拟电路设计,Calibre xRC还可以输出CalibreView的格式,即直接输出包含有寄生电阻、电容的电路图。这样对那些习惯使用电路图进行仿真的工程师就可以直接采用同前仿真完全相同的方式进行后仿真。而针对数模混合的设计,Calibre xRC可以一次完成数模混合的提取。你只需要在界面中选择ADMS的输出,指定设计中那些是模拟部分,那些是数字部分,分别输出什么样的格式。对于数字电路部分,你可以集成Delay Calculation的工具,直接将得到的desp或spef格式转换为SDF格式。完成提取后得到数模混合网表可以直接输入到Mentor的数模混合仿真工具ADMS中进行后仿真。